Difference between revisions of "A-ESTF V2 EP4CE22 Board"

From Land Boards Wiki
Jump to navigation Jump to search
Line 927: Line 927:
  
 
== Programming ==
 
== Programming ==
 +
 +
* Procedure to program the EPCS chip (permanent download)
  
 
[[File:Prog-001.png]]
 
[[File:Prog-001.png]]

Revision as of 13:39, 21 January 2020

  • This card was on the market for a while but has been hard to find lately (2020-01).

A-ESTF 001 720px.jpg

FPGA Daughtercard

A-ESTF 002 720px.jpg

FPGA

  • FPGA is a EP4CE22F17C8N

A-ESTF V2 EP4CE22 Board-02.png

I/O Conns

Left I/O Connector

A-ESTF Left IO.jpg

60Pin Pin (0.1") FPGA_Pin Function 60Pin Pin (0.1") FPGA_Pin Function
1 49 5V Power 2 50 5V Power
3 47 NC 4 48 NC
5 37 GND Power 6 38 GND Power
7 45 PIN_B1 ps2kbd_clk 8 46 PIN_C2 ps2kbd_dat
9 PIN_D1 segdata[0] 10 NC NC
11 PIN_F3 segdata[1] 12 44 PIN_F2 segdata[2]
13 PIN_F1 segdata[3] 14 42 PIN_G2 segdata[4]
15 36 PIN_G1 segdata[5] 16 40 PIN_E7 segdata[6]
17 34 PIN_E6 segdata[7] 18 35 PIN_F8 U2_138_A[0]
19 32 PIN_L4 U2_128_A[1] 20 33 PIN_L3 U2_138_A[2]
21 28 PIN_J1 U3_138_select 22 31 PIN_G5 U2_138_select
23 26 PIN_K1 ds1820_dq 24 PIN_J2 SD-D3/SDCS
25 27 PIN_P6 SD-CMD/Sdin/MOSI 26 25 PIN_K2 SDCS/SD-CLK
27 23 PIN_L8 SD-D0/Sdout/MISO 28 24 PIN_L7 vga_vs
29 19 PIN_K5 vga_r[4] 30 20 PIN_M10 vga_hs
31 13 GND Power 32 14 GND Power
33 PIN_TMS TMS 34 TDO TDO
35 PIN_TCK TCK 36 TDI TDI
37 17 PIN_L1 vga_r[2] 38 18 PIN_L2 vga_r[3]
39 15 PIN_N1 vga_r[0] 40 16 PIN_N2 vga_r[1]
41 11 PIN_P1 vga_g[4] 42 12 PIN_P2 vga_g[5]
43 9 PIN_R1 vga_g[2] 44 10 PIN_T2 vga_g[3]
45 7 PIN_N3 vga_g[0] 46 8 PIN_P3 vga_g[1]
47 5 PIN_N5 vga_b[3] 48 6 PIN_R3 vga_b[4]
49 3 PIN_T3 vga_b[1] 50 4 PIN_R4 vga_b[2]
51 1 PIN_T4 I2C-SDA 52 2 PIN_R5 I2C_SCL
53 PIN_T3 RS232-TX 54 PIN_R6 vga_b[0]
55 21 GND Power 56 22 GND Power
57 PIN_T6 RS232-RX 58 PIN_R7 ROW0
59 PIN_T7 ROW1 60 PIN_N6 ROW2
29 GND Power 30 GND Power

Right I/O Connector

A-ESTF Right IO.jpg

60Pin 50Pin FPGA_Pin Function J9 60Pin 50Pin FPGA_Pin Function J9
1 50 5V Power 2 49 5V Power
3 48 NC 4 47 NC
5 38 GND Power 2 6 37 GND Power 1
7 46 PIN_C15 Buzzer/BELL 8 45 PIN_B16 LED[7]/LED14
9 44 PIN_D15 LED[6]/LED13 10 43 PIN_C16 LED[5]/LED9
11 42 PIN_F15 LED[4]/LED8 12 41 PIN_D16 LED[3]/LED7
13 40 PIN_G15 LED[2]/LED6 14 39 PIN_F16 LED[1]/LED5
15 35 PIN_J13 LED[0]/LED4 16 36 PIN_T11 IO0 11
17 34 PIN_F14 IO1 11 18 33 PIN_F13 LCDdat[7]/IO2 14
19 32 PIN_K16 IO3 13 20 31 PIN_J14 LCDdat[6]/IO4 16
21 27 PIN_R11 IO5 15 22 28 PIN_K15 LCDdat[5]/IO6 18
23 NC 24 25 PIN_E10 IO7 19
25 26 PIN_F9 LCDdat[4]/IO8 20 26 23 PIN_L14 IO9 21
27 24 PIN_L13 LCDdat[3]/IO10 22 28 PIN_L16 IO11 23
29 19 PIN_L15 LCDdat[2]/IO12 24 30 20 PIN_J15 rst/IO13 25
31 14 GND Power 32 13 GND Power
33 17 PIN_N12 LCDdat[1]/IO14 26 34 18 PIN_J16 resetbtn/IO15 27
35 16 PIN_N15 LCDdat[0]/IO16 28 36 15 PIN_N16 IO17 29
37 12 PIN_N14 LCDen/IO18 30 38 11 PIN_P16 IO19 31
39 10 PIN_P15 rw/LCDWR/IO20 32 40 9 PIN_P14 IO21 33
41 8 PIN_T15 LCDrs/IO22 34 42 7 PIN_R16 IO23 35
43 6 PIN_T14 cs/ADCS 44 5 PIN_R14 A2DData
45 4 PIN_T13 ioclk/ADCLK 46 3 PIN_R13 da_data
47 2 PIN_E16 row[2]/COL2 48 1 PIN_E15 row[1]/COL1
49 PIN_B9 SW6 50 PIN_A9 COL0
51 PIN_A8 K14/SW4 52 PIN_B8 K12/SW3
53 PIN_M15 K11/SW2 54 PIN_M16 K10/SW1
55 GND 40 56 GND GND 39
57 PIN_T12 DA-SCLK 58 PIN_R12 DA-SYNC
59 NC 60 CLK_M1 IR_INPUT
22 GND Power 21 GND Power
30 GND Power 29 GND Power

Front I/O Connector

60Pin FPGA_Pin Function 60Pin FPGA_Pin Function
1 5V Power 2 5V Power
3 NO_PIN 4 NO_PIN
5 GND Power 6 GND Power
7 PIN_M7 8 PIN_M8
9 PIN_N8 10 PIN_M6
11 PIN_P9 12 PIN_P8
13 PIN_T10 14 PIN_N9
15 GND 16 GND
17 PIN_N11 18 PIN_P11
19 NC 20 PIN_R10
21 NC 22 NC
23 GND 24 GND
25 NC 26 NC
27 NC 28 NC
29 NC 30 NC
31 GND 32 GND
33 NC 34 NC
35 PIN_R8 36 PIN_R9
37 PIN_T8 38 PIN_T9
39 NC 40 NC
41 NC 42 NC

Programming

  • Procedure to program the EPCS chip (permanent download)

Prog-001.png

Prog-002.png

Prog-003.png

Prog-004.png

Prog-005.png

Prog-006.png

Prog-007.png

Prog-008.png

Prog-009.png

Prog-010.png

Prog-011.png

Prog-012.png

Prog-013.png

Prog-014.png

Prog-015.png

Prog-016.png

Prog-017.png

Pin List

set_location_assignment PIN_E1 -to CLK_50M
set_location_assignment PIN_J15 -to rst
set_location_assignment PIN_B8 -to rstn
set_location_assignment PIN_M16 -to i_rst_n
set_location_assignment PIN_C15 -to Buzzer
set_location_assignment PIN_M1 -to IR
set_location_assignment PIN_K1 -to ds1820_dq
# VGA
set_location_assignment PIN_N1 -to vga_r[0]
set_location_assignment PIN_N2 -to vga_r[1]
set_location_assignment PIN_L1 -to vga_r[2]
set_location_assignment PIN_L2 -to vga_r[3]
set_location_assignment PIN_K5 -to vga_r[4]
set_location_assignment PIN_N3 -to vga_g[0]
set_location_assignment PIN_P3 -to vga_g[1]
set_location_assignment PIN_R1 -to vga_g[2]
set_location_assignment PIN_T2 -to vga_g[3]
set_location_assignment PIN_P1 -to vga_g[4]
set_location_assignment PIN_P2 -to vga_g[5]
set_location_assignment PIN_R6 -to vga_b[0]
set_location_assignment PIN_T3 -to vga_b[1]
set_location_assignment PIN_R4 -to vga_b[2]
set_location_assignment PIN_N5 -to vga_b[3]
set_location_assignment PIN_R3 -to vga_b[4]
set_location_assignment PIN_M10 -to vga_hs
set_location_assignment PIN_L7 -to vga_vs
# 
set_location_assignment PIN_G5 -to U2_138_select
set_location_assignment PIN_J1 -to U3_138_select
set_location_assignment PIN_L3 -to U2_128_A[2]
set_location_assignment PIN_L4 -to U2_128_A[1]
set_location_assignment PIN_F8 -to U2_128_A[0]
set_location_assignment PIN_L3 -to U2_138[2]
set_location_assignment PIN_L4 -to U2_138[1]
set_location_assignment PIN_F8 -to U2_138[0]
set_location_assignment PIN_A9 -to row[0]
set_location_assignment PIN_E15 -to row[1]
set_location_assignment PIN_E16 -to row[2]
set_location_assignment PIN_R7 -to col[0]
set_location_assignment PIN_T7 -to col[1]
set_location_assignment PIN_N6 -to col[2]
set_location_assignment PIN_T14 -to cs
# DAC7512
set_location_assignment PIN_R13 -to da_data_out
set_location_assignment PIN_T12 -to sclk_out
set_location_assignment PIN_R12 -to sync_out
set_location_assignment PIN_M16 -to resetin
# ADC_TLC549
set_location_assignment PIN_R14 -to data
set_location_assignment PIN_T13 -to ioclk
# Seven Segment Display
set_location_assignment PIN_D1 -to segdata[0]
set_location_assignment PIN_F3 -to segdata[1]
set_location_assignment PIN_F2 -to segdata[2]
set_location_assignment PIN_F1 -to segdata[3]
set_location_assignment PIN_G2 -to segdata[4]
set_location_assignment PIN_G1 -to segdata[5]
set_location_assignment PIN_E7 -to segdata[6]
set_location_assignment PIN_E6 -to segdata[7]
set_location_assignment PIN_J16 -to key_in
set_location_assignment PIN_M8 -to LED_D8
# SDRAM
set_location_assignment PIN_D9 -to SDR_BA[1]
set_location_assignment PIN_E9 -to SDR_BA[0]
set_location_assignment PIN_B10 -to SDR_AD[0]
set_location_assignment PIN_A11 -to SDR_AD[1]
set_location_assignment PIN_B11 -to SDR_AD[2]
set_location_assignment PIN_A12 -to SDR_AD[3]
set_location_assignment PIN_D14 -to SDR_AD[4]
set_location_assignment PIN_D12 -to SDR_AD[5]
set_location_assignment PIN_D11 -to SDR_AD[6]
set_location_assignment PIN_C14 -to SDR_AD[7]
set_location_assignment PIN_C11 -to SDR_AD[8]
set_location_assignment PIN_C9 -to SDR_AD[9]
set_location_assignment PIN_A10 -to SDR_AD[10]
set_location_assignment PIN_C8 -to SDR_AD[11]
set_location_assignment PIN_C6 -to SDR_AD[12]
set_location_assignment PIN_A2 -to SDR_DA[0]
set_location_assignment PIN_B3 -to SDR_DA[1]
set_location_assignment PIN_A3 -to SDR_DA[2]
set_location_assignment PIN_B4 -to SDR_DA[3]
set_location_assignment PIN_A4 -to SDR_DA[4]
set_location_assignment PIN_A5 -to SDR_DA[6]
set_location_assignment PIN_B5 -to SDR_DA[5]
set_location_assignment PIN_B6 -to SDR_DA[7]
set_location_assignment PIN_A14 -to SDR_DA[8]
set_location_assignment PIN_B13 -to SDR_DA[9]
set_location_assignment PIN_A13 -to SDR_DA[10]
set_location_assignment PIN_B12 -to SDR_DA[11]
set_location_assignment PIN_D6 -to SDR_DA[12]
set_location_assignment PIN_D5 -to SDR_DA[13]
set_location_assignment PIN_C3 -to SDR_DA[14]
set_location_assignment PIN_D3 -to SDR_DA[15]
set_location_assignment PIN_D8 -to SDR_RAS
set_location_assignment PIN_A7 -to SDR_CAS
set_location_assignment PIN_B7 -to SDR_WE
set_location_assignment PIN_E8 -to SDR_CS
set_location_assignment PIN_A15 -to SDR_CKE
set_location_assignment PIN_B14 -to SDR_CLK
set_location_assignment PIN_A6 -to SDR_DQM[0]
set_location_assignment PIN_E11 -to SDR_DQM[1]
# EPCS
set_location_assignment PIN_D2 -to EPCS_CS
set_location_assignment PIN_H2 -to EPCS_DATA0
set_location_assignment PIN_H1 -to EPCS_DCLK
set_location_assignment PIN_C1 -to EPCS_SDO
# Switches and LEDs
set_location_assignment PIN_A8 -to key1
set_location_assignment PIN_M16 -to switch[0]
set_location_assignment PIN_M15 -to switch[1]
set_location_assignment PIN_B8 -to switch[2]
set_location_assignment PIN_A8 -to switch[3]
set_location_assignment PIN_A9 -to switch[4]
set_location_assignment PIN_B9 -to switch[5]
set_location_assignment PIN_E15 -to switch[6]
set_location_assignment PIN_E16 -to switch[7]
set_location_assignment PIN_J13 -to LED[0]
set_location_assignment PIN_F16 -to LED[1]
set_location_assignment PIN_G15 -to LED[2]
set_location_assignment PIN_D16 -to LED[3]
set_location_assignment PIN_F15 -to LED[4]
set_location_assignment PIN_C16 -to LED[5]
set_location_assignment PIN_D15 -to LED[6]
set_location_assignment PIN_B16 -to LED[7]
# LED Matrix
set_location_assignment PIN_D1 -to LED_Matrix[0]
set_location_assignment PIN_F3 -to LED_Matrix[1]
set_location_assignment PIN_F2 -to LED_Matrix[2]
set_location_assignment PIN_F1 -to LED_Matrix[3]
set_location_assignment PIN_G2 -to LED_Matrix[4]
set_location_assignment PIN_G1 -to LED_Matrix[5]
set_location_assignment PIN_E7 -to LED_Matrix[6]
set_location_assignment PIN_E6 -to LED_Matrix[7]
set_location_assignment PIN_D1 -to keyboard_val[0]
set_location_assignment PIN_F3 -to keyboard_val[1]
set_location_assignment PIN_F2 -to keyboard_val[2]
set_location_assignment PIN_F1 -to keyboard_val[3]
set_location_assignment PIN_G2 -to keyboard_val[4]
set_location_assignment PIN_G1 -to keyboard_val[5]
set_location_assignment PIN_E7 -to keyboard_val[6]
set_location_assignment PIN_E6 -to keyboard_val[7]
# LCD
set_location_assignment PIN_P15 -to rw
set_location_assignment PIN_N14 -to en
set_location_assignment PIN_F13 -to dat[7]
set_location_assignment PIN_J14 -to dat[6]
set_location_assignment PIN_K15 -to dat[5]
set_location_assignment PIN_F9 -to dat[4]
set_location_assignment PIN_L13 -to dat[3]
set_location_assignment PIN_L15 -to dat[2]
set_location_assignment PIN_N12 -to dat[1]
set_location_assignment PIN_N15 -to dat[0]
set_location_assignment PIN_T15 -to rs