PDP-11 ON RETRO-EP4CE15

From Land Boards Wiki
Jump to navigation Jump to search

Work in Progress

RETROEP4CE15 REV4 P376-annotated-720px.jpg

Build

J1 - I/O Connector (5CEFA2F23 FPGA Card pin numbers)

J1 MAP FPGA PINS.PNG

  • J1-50 = GND
  • J1-49 = GND
  • J1-48 = PIN_M22 = resetbtn
  • J1-47 = PIN_L22 = sw_cont
  • J1-46 = PIN_L18 = sw_halt
  • J1-45 = PIN_L19 = tx2
  • J1-44 = PIN_M18 = rx2
  • J1-43 = PIN_N19 = rts2
  • J1-42 = PIN_M21 = cts2
  • J1-41 = PIN_M20 = led_run
  • J1-40 = PIN_N20 = xu_sclk
  • J1-39 = PIN_N21 = xu_mosi
  • J1-38 = PIN_P16 = xu_miso
  • J1-37 = PIN_P17 = xu_debug_tx
  • J1-36 = PIN_T20 = xu_cs
  • J1-35 = PIN_T19 = max7219_load
  • J1-34 = PIN_P22 = max7219_data
  • J1-33 = PIN_R21 = max7219_clock
  • J1-1 = VCC
  • J1-2 = VCC

J3 Header (5CEFA2F23 FPGA Card pin numbers)

J3-footprint.PNG

J3 MAP FPGA PINS.PNG

  • J8P55 = PIN_L17
  • J8P56 = PIN_K17
  • J8B57 = PIN_K22
  • J8P58 = PIN_K21
  • J8P59 = PIN_M16
  • J8P60 = PIN_N16

DIP Switch

Function DIP Switch FPGA Pin
Swap 0 button 1 PIN_M16
treset 2 PIN_M15
Swap 2 button 3 PIN_B8
Swap console 4 PIN_A8

Switches

PDP2011-Front Panel-Annotated.jpg

J10 Switches

  • Schematic shows J9, Board silkscreen shows J10
Function J10 Pin FPGA Pin
enablebtn J10-25 PIN_J15
resetbtn J10-27 PIN_J16
sw_halt J10-30 PIN_N14
sw_cont J10-32 PIN_P15
led_run J10-34 PIN_T15

Serial Port

  • PIN_T5 = rx1 (in)
  • PIN_T6 = tx1 (out)
  • PIN_R16 = rx2 (in)
  • PIN_P14 = tx2 (out)
  • PIN_P16 = rts2 (out)
  • PIN_N16 = cts2 (in)

External 8 Digit 7 segment MAX7219 LED

  • PIN_L15 max7219_data J10-24
  • PIN_N12 max7219_load J10-26
  • PIN_N15 max7219_clock J10-28

Resources

Pin List

# Pin & Location Assignments
# ==========================
set_location_assignment PIN_E1 -to clkin
set_location_assignment PIN_J16 -to resetbtn
set_location_assignment PIN_J15 -to enablebtn
set_location_assignment PIN_C15 -to beep

set_location_assignment PIN_P15 -to sw_cont
set_location_assignment PIN_N14 -to sw_halt
set_location_assignment PIN_T15 -to led_run

set_location_assignment PIN_M8 -to LED_D8
set_location_assignment PIN_D2 -to EPCS_CS
set_location_assignment PIN_H2 -to EPCS_DATA0
set_location_assignment PIN_H1 -to EPCS_DCLK
set_location_assignment PIN_C1 -to EPCS_SDO

set_location_assignment PIN_J13 -to redled[0]
set_location_assignment PIN_F16 -to redled[1]
set_location_assignment PIN_G15 -to redled[2]
set_location_assignment PIN_D16 -to redled[3]
set_location_assignment PIN_F15 -to redled[4]
set_location_assignment PIN_C16 -to redled[5]
set_location_assignment PIN_D15 -to redled[6]
set_location_assignment PIN_B16 -to redled[7]

set_location_assignment PIN_M16 -to switch[0]
set_location_assignment PIN_M15 -to switch[1]
set_location_assignment PIN_B8 -to switch[2]
set_location_assignment PIN_A8 -to switch[3]

set_location_assignment PIN_C6 -to dram_addr[12]
set_location_assignment PIN_C8 -to dram_addr[11]
set_location_assignment PIN_A10 -to dram_addr[10]
set_location_assignment PIN_C9 -to dram_addr[9]
set_location_assignment PIN_C11 -to dram_addr[8]
set_location_assignment PIN_C14 -to dram_addr[7]
set_location_assignment PIN_D11 -to dram_addr[6]
set_location_assignment PIN_D12 -to dram_addr[5]
set_location_assignment PIN_D14 -to dram_addr[4]
set_location_assignment PIN_A12 -to dram_addr[3]
set_location_assignment PIN_B11 -to dram_addr[2]
set_location_assignment PIN_A11 -to dram_addr[1]
set_location_assignment PIN_B10 -to dram_addr[0]
set_location_assignment PIN_D9 -to dram_ba_1
set_location_assignment PIN_E9 -to dram_ba_0
set_location_assignment PIN_A7 -to dram_cas_n
set_location_assignment PIN_A15 -to dram_cke
set_location_assignment PIN_B14 -to dram_clk
set_location_assignment PIN_E8 -to dram_cs_n
set_location_assignment PIN_D3 -to dram_dq[15]
set_location_assignment PIN_C3 -to dram_dq[14]
set_location_assignment PIN_D5 -to dram_dq[13]
set_location_assignment PIN_D6 -to dram_dq[12]
set_location_assignment PIN_B12 -to dram_dq[11]
set_location_assignment PIN_A13 -to dram_dq[10]
set_location_assignment PIN_B13 -to dram_dq[9]
set_location_assignment PIN_A14 -to dram_dq[8]
set_location_assignment PIN_B6 -to dram_dq[7]
set_location_assignment PIN_A5 -to dram_dq[6]
set_location_assignment PIN_B5 -to dram_dq[5]
set_location_assignment PIN_A4 -to dram_dq[4]
set_location_assignment PIN_B4 -to dram_dq[3]
set_location_assignment PIN_A3 -to dram_dq[2]
set_location_assignment PIN_B3 -to dram_dq[1]
set_location_assignment PIN_A2 -to dram_dq[0]
set_location_assignment PIN_E11 -to dram_udqm
set_location_assignment PIN_A6 -to dram_ldqm
set_location_assignment PIN_D8 -to dram_ras_n
set_location_assignment PIN_B7 -to dram_we_n

set_location_assignment PIN_T5 -to rx1
set_location_assignment PIN_T6 -to tx1

set_location_assignment PIN_P14 -to tx2
set_location_assignment PIN_R16 -to rx2
set_location_assignment PIN_N16 -to cts2
set_location_assignment PIN_P16 -to rts2

set_location_assignment PIN_K2 -to sdcard_sclk
set_location_assignment PIN_J2 -to sdcard_cs
set_location_assignment PIN_L8 -to sdcard_miso
set_location_assignment PIN_P6 -to sdcard_mosi

set_location_assignment PIN_G5 -to U2_138_select
set_location_assignment PIN_J1 -to U3_138_select
set_location_assignment PIN_F8 -to Anode_Activate[0]
set_location_assignment PIN_L4 -to Anode_Activate[1]
set_location_assignment PIN_L3 -to Anode_Activate[2]
set_location_assignment PIN_D1 -to sseg0[0]
set_location_assignment PIN_F3 -to sseg0[1]
set_location_assignment PIN_F2 -to sseg0[2]
set_location_assignment PIN_F1 -to sseg0[3]
set_location_assignment PIN_G2 -to sseg0[4]
set_location_assignment PIN_G1 -to sseg0[5]
set_location_assignment PIN_E7 -to sseg0[6]
set_location_assignment PIN_E6 -to ssegP


set_location_assignment PIN_N15 -to max7219_clock
set_location_assignment PIN_L15 -to max7219_data
set_location_assignment PIN_N12 -to max7219_load

set_location_assignment PIN_F13 -to xu_cs
set_location_assignment PIN_J14 -to xu_debug_tx
set_location_assignment PIN_K15 -to xu_miso
set_location_assignment PIN_F9 -to xu_mosi
set_location_assignment PIN_L13 -to xu_sclk

set_location_assignment PIN_B1 -to ps2k_c
set_location_assignment PIN_C2 -to ps2k_d

set_location_assignment PIN_M10 -to vgah
set_location_assignment PIN_L7 -to vgav
set_location_assignment PIN_R6 -to vgab[0]
set_location_assignment PIN_T3 -to vgab[1]
set_location_assignment PIN_R4 -to vgab[2]
set_location_assignment PIN_N5 -to vgab[3]
set_location_assignment PIN_R3 -to vgab[4]
set_location_assignment PIN_P2 -to vgag[5]
set_location_assignment PIN_P1 -to vgag[4]
set_location_assignment PIN_T2 -to vgag[3]
set_location_assignment PIN_R1 -to vgag[2]
set_location_assignment PIN_P3 -to vgag[1]
set_location_assignment PIN_N3 -to vgag[0]
set_location_assignment PIN_K5 -to vgar[4]
set_location_assignment PIN_L2 -to vgar[3]
set_location_assignment PIN_L1 -to vgar[2]
set_location_assignment PIN_N2 -to vgar[1]
set_location_assignment PIN_N1 -to vgar[0]

set_location_assignment PIN_K1 -to panel_col[11]
set_location_assignment PIN_R5 -to panel_col[10]
set_location_assignment PIN_T4 -to panel_col[9]
set_location_assignment PIN_M6 -to panel_col[8]
set_location_assignment PIN_M7 -to panel_col[7]
set_location_assignment PIN_N8 -to panel_col[6]
set_location_assignment PIN_N9 -to panel_col[5]
set_location_assignment PIN_N11 -to panel_col[4]
set_location_assignment PIN_P8 -to panel_col[3]
set_location_assignment PIN_P9 -to panel_col[2]
set_location_assignment PIN_P11 -to panel_col[1]
set_location_assignment PIN_R10 -to panel_col[0]

set_location_assignment PIN_T10 -to panel_row[2]
set_location_assignment PIN_E10 -to panel_row[1]
set_location_assignment PIN_T14 -to panel_row[0]

set_location_assignment PIN_K16 -to panel_xled[5]
set_location_assignment PIN_L14 -to panel_xled[4]
set_location_assignment PIN_R11 -to panel_xled[3]
set_location_assignment PIN_R13 -to panel_xled[2]
set_location_assignment PIN_R14 -to panel_xled[1]
set_location_assignment PIN_T11 -to panel_xled[0]

set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to panel_col[0]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to panel_col[1]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to panel_col[2]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to panel_col[3]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to panel_col[4]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to panel_col[5]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to panel_col[6]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to panel_col[7]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to panel_col[8]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to panel_col[10]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to panel_col[9]
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to panel_col[11]

set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to panel_row[0]
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to panel_row[1]
set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to panel_row[2]