IOP16 Constants Unit

From Land Boards Wiki
Revision as of 21:56, 14 April 2022 by Blwikiadmin (talk | contribs) (Created page with "== Constants ROM with Address Counter == * Constants ROM ** 256-byte (max) ** Null terminated strings ** ROM "assembler" * Address Counter ** Loadable with start address ** A...")
(diff) ← Older revision | Latest revision (diff) | Newer revision → (diff)
Jump to navigation Jump to search

Constants ROM with Address Counter

  • Constants ROM
    • 256-byte (max)
    • Null terminated strings
    • ROM "assembler"
  • Address Counter
    • Loadable with start address
    • Auto-increment address

Programming

  • Load start address (W)
  • Read Data

Hook-up

= Pins

Signals

VHDL Instance