Difference between revisions of "Extend IOP16 minimal example"

From Land Boards Wiki
Jump to navigation Jump to search
Line 13: Line 13:
 
== Build Minimal Example ==
 
== Build Minimal Example ==
  
* Start by building the [https://github.com/douggilliland/IOP16/tree/main/Higher_Level_Examples/TestIOP16_Minimal minimal example]
+
* Start by building the [https://github.com/douggilliland/IOP16/tree/main/Higher_Level_Examples/TestIOP16_Minimal minimal example] in Quartus II
 
** Adjust I/O pins as required for the FPGA that is being used
 
** Adjust I/O pins as required for the FPGA that is being used
 
*** This example does not cover porting to a different FPGA card
 
*** This example does not cover porting to a different FPGA card
 +
** May need to re-point to the ROM .MIF file since Quartus II sometimes "forgets"
  
 
== Steps ==
 
== Steps ==

Revision as of 10:51, 11 April 2022

Overview

Clone Sources

Build Minimal Example

  • Start by building the minimal example in Quartus II
    • Adjust I/O pins as required for the FPGA that is being used
      • This example does not cover porting to a different FPGA card
    • May need to re-point to the ROM .MIF file since Quartus II sometimes "forgets"

Steps

  • Copy baseline design
  • Select/add peripherals
  • Create new peripherals
  • Write assembly code

Baseline Design

  • Starts from IOP example
    • Similar to Arduino "Blink Sketch" and uses the resources
      • Timer Unit 0 1 second timer
      • On-board LED
    • The Timer unit could be removed if desired
      • Timer makes Blink easier
  • Copy the minimal design to a new folder

Select Peripherals

Create new peripherals

Write Assembly code

Test the build