Difference between revisions of "IOP16 Constants Unit"

From Land Boards Wiki
Jump to navigation Jump to search
(Created page with "== Constants ROM with Address Counter == * Constants ROM ** 256-byte (max) ** Null terminated strings ** ROM "assembler" * Address Counter ** Loadable with start address ** A...")
 
Line 16: Line 16:
 
== Hook-up ==
 
== Hook-up ==
  
=== Pins ==
+
=== Pins ===
  
 
=== Signals ===
 
=== Signals ===
  
 
=== VHDL Instance ===
 
=== VHDL Instance ===

Revision as of 21:56, 14 April 2022

Constants ROM with Address Counter

  • Constants ROM
    • 256-byte (max)
    • Null terminated strings
    • ROM "assembler"
  • Address Counter
    • Loadable with start address
    • Auto-increment address

Programming

  • Load start address (W)
  • Read Data

Hook-up

Pins

Signals

VHDL Instance