Difference between revisions of "IOP16 Constants Unit"

From Land Boards Wiki
Jump to navigation Jump to search
Line 15: Line 15:
  
 
== Hook-up ==
 
== Hook-up ==
 +
 +
* Add to Top Level VHDL code
  
 
=== Pins ===
 
=== Pins ===
 +
 +
<pre>
 +
</pre>
  
 
=== Signals ===
 
=== Signals ===
 +
 +
<pre>
 +
</pre>
  
 
=== VHDL Instance ===
 
=== VHDL Instance ===
 +
 +
<pre>
 +
</pre>

Revision as of 21:57, 14 April 2022

Constants ROM with Address Counter

  • Constants ROM
    • 256-byte (max)
    • Null terminated strings
    • ROM "assembler"
  • Address Counter
    • Loadable with start address
    • Auto-increment address

Programming

  • Load start address (W)
  • Read Data

Hook-up

  • Add to Top Level VHDL code

Pins


Signals


VHDL Instance