Difference between revisions of "IOP16 Constants Unit"

From Land Boards Wiki
Jump to navigation Jump to search
Line 32: Line 32:
 
<pre>
 
<pre>
 
</pre>
 
</pre>
 +
 +
=== Hook-up Strobes/Read Mux ===
 +
 +
* Add to strobes and read mux

Revision as of 21:58, 14 April 2022

Constants ROM with Address Counter

  • Constants ROM
    • 256-byte (max)
    • Null terminated strings
    • ROM "assembler"
  • Address Counter
    • Loadable with start address
    • Auto-increment address

Programming

  • Load start address (W)
  • Read Data

Hook-up

  • Add to Top Level VHDL code

Pins


Signals


VHDL Instance


Hook-up Strobes/Read Mux

  • Add to strobes and read mux